VCS产生vpd波形文件

    xiaoxiao2023-10-25  160

    1.首先有个简单的.v文件和._tb.v 文件,然后在tb文件中加入

    module fenpin( input clk, input rsr_n, input [7:0] div_num, output reg clk_out ); always@(posedge clk or negedge rst_n) if(!rst_n) cnt<=0; else if(cnt<div_num) cnt<=cnt+1'b1; else if(cnt==div_num) cnt<=0; always@(posedge clk or negedge rst_n) if(!rst_n) clk_out<=0; else if (cnt==div_num-1) clk_out<=clk_out; else clk_out<=~clk_out; endmodule module fenpin_tb(); reg clk; reg rst_n; reg [7:0] div_num; wire clk_out; initial begin clk=0; rst_n=1; div_num=0; #200 rst_n=0; #100 rst_n=1; #20 div_num=1; #200 div_num=2; #200 div_num=3; #200 div_num=4; #200 div_num=5; #200 $stop; end always #5 clk=~clk; initial /*add*/ begin $vcdpluson; end fenpin u1( .clk(clk), .rst_n(rst_n), .div_num(div_num), .clk_out(clk_out) ); endmodule

    initial begin $vcdpluson; end

    2.把这两个文件加入和路径加入到文件.f中 3.在终端下使用命令 vcs -full64 filelist.f -debug_all 4.发现有一个 simv的文件,进行运行 ./simv ,然后你就会发现一个.vpd文件 5.使用 dev -full64 打开dve,然后把.vpd文件载入即可。

    最新回复(0)